Welcome![Sign In][Sign Up]
Location:
Search - vhdl iic

Search list

[ARM-PowerPC-ColdFire-MIPSrong

Description: 一个IIC总线的代码,一个VGA显示文字代码,目前VGA可以显示彩条,但是现实文字较少,一个本科学生兰编写,还不错,共享下,大家参考,IIC编写的比较经典,可以 学习怎么写一个处理器的外设控制器。-IIC bus is a code, a VGA display text code, the current color VGA displays, but less realistic characters, a lan to prepare undergraduate students, but also good to share, the U.S. reference, IIC comparison prepared classics, can learn how to write a processor peripheral controller.
Platform: | Size: 1773568 | Author: rong | Hits:

[VHDL-FPGA-Verilogi2c.tar

Description: iic的代码,是工程文件来的,是XILINX的,来自开源的-IIC
Platform: | Size: 699392 | Author: | Hits:

[Communication-Mobileiic_vhdl

Description: iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC upcnt4.vhd -- 4-bit up counter i2c_timesim.vhd -- post-route I2C simulation netlist -IIC bus controller VHDL realize- VHDL Source Files: i2c.vhd- top level file i2c_control.vhd- control function for the I2C master/slave shift.vhd- shift register uc_interface.vhd- uC interface function for an 8-bit 68000-like uC upcnt4.vhd- 4-bit up counter i2c_timesim.vhd- post-route I2C simulation netlist
Platform: | Size: 889856 | Author: benny | Hits:

[VHDL-FPGA-VerilogEEPROM

Description: VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
Platform: | Size: 1049600 | Author: 云川 | Hits:

[SCMIIC

Description: 与外部设备进行成功连接的完整I2C程序。This example describes a synthesizable implementation of a I2C. -With external devices connected successfully complete I2C procedures. This example describes a synthesizable implementation of a I2C.
Platform: | Size: 2048 | Author: | Hits:

[ARM-PowerPC-ColdFire-MIPSI2C

Description: IIC控制器的verilog实现,通过mcu接口对iic slave器件进行控制-IIC controller Verilog realize
Platform: | Size: 348160 | Author: yu | Hits:

[VHDL-FPGA-Verilogi2c

Description: IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
Platform: | Size: 63488 | Author: 梁旺 | Hits:

[OtherI2C

Description: IIC通信协议IP核,描述IIC协议在FPGA上的实现-IIC communications protocol IP core
Platform: | Size: 448512 | Author: shigengxin | Hits:

[VHDL-FPGA-VerilogSPI_IIC_design_example

Description: ALTERA原厂提供的例程,网上很难找到的,在MAX2系列芯片上实现过,VHDL和VERILOG两种语言编写 IIC读写程序-ALTERA provided the original routine, it is difficult to find online and in the MAX2 series chip-off, VHDL and VERILOG two languages
Platform: | Size: 394240 | Author: 郑康山 | Hits:

[Program dociic_master

Description: it is a iic source verilog code with its testcase which can act only as master
Platform: | Size: 3072 | Author: nifrad | Hits:

[ARM-PowerPC-ColdFire-MIPSiic

Description: i2c ipcore,已经验证过可以使用-i2c ipcore
Platform: | Size: 8192 | Author: Zhao Bill | Hits:

[VHDL-FPGA-VerilogIICVHDL

Description: IIC,给予VHDL的IIC的实现,很好的资料-NO
Platform: | Size: 3072 | Author: dalianmao502 | Hits:

[VHDL-FPGA-VerilogIICbus

Description: 基于nios ii 控制altera de1 开发板上iic总线实现与at24c02通信-Based on nios ii controlled altera de1 Development Board iic bus for communication with the at24c02
Platform: | Size: 13524992 | Author: 梁重 | Hits:

[VHDL-FPGA-Verilogiic

Description: I2C接口标准建模源码,I2C interface standard modeling source-I2C interface standard modeling source
Platform: | Size: 317440 | Author: merryforever | Hits:

[VHDL-FPGA-VerilogI2C

Description: 使用VHDL写的标准 IIC代码 标准的接口文件,具有三态功能-The use of a standard IIC write VHDL code for a standard interface file, with tri-state function
Platform: | Size: 3072 | Author: 张爱民 | Hits:

[Program docIIC_slave_core

Description: iic 总线规范和多个iic Verilog的设计论文,均为pdf-pdf of verilog iic
Platform: | Size: 184320 | Author: ppddxxx | Hits:

[VHDL-FPGA-VerilogI2C

Description: I2C/IIC 总线接口驱动,在Altera和Xilinx的FPGA上跑过,Verilog编写,Craftor原创。V1.1。代码中还包含了24C02的读写测试程序,可直接用。-I2C/IIC Bus Driver, written in Verilog, v1.1. By Craftor
Platform: | Size: 2048 | Author: Craftor | Hits:

[VHDL-FPGA-Verilogiic

Description: 我实验的是 AT24C08的单字节读,单字节写,页读和页写,在高于3.3V系统中他的通信速率最高400KHZ的,我实验里用的是100KHZ的速率-My experiment is AT24C08 single-byte read, single-byte write, page read and write page, above the 3.3V system, the highest rate of his communications 400KHZ, I experiment with the rate of 100KHZ
Platform: | Size: 3072 | Author: liyilin | Hits:

[VHDL-FPGA-VerilogFPGA-IIC

Description: 利用VHDL实现延时程序 很不错的资料 适合学习CHDL-Delay procedure using VHDL implementation very good information for learning CHDL
Platform: | Size: 240640 | Author: qzl001 | Hits:

[VHDL-FPGA-VerilogI2C-Master-_-Slave-Core

Description: 用verilog 实现的 iic 总线编程,包括master,和slave的编程,很详细的iic总线编程-Iic-bus implemented using verilog programming, including the master, and slave programming, a very detailed iic-bus programming
Platform: | Size: 2181120 | Author: 郭天然 | Hits:
« 1 23 4 5 »

CodeBus www.codebus.net